Zx spectrum composite монтажная схема. Описание схемы компьютерах.х устройство и работа компьютера

«То, что не удаётся запрограммировать на ассемблере, приходится паять» (http://bash.im/quote/398169)

Когда-то «ZX Spectrum» стал одним из моих первых персональных компьютеров. На нём я постигал азы программирования – от бейсика до ассемблера. И тут уместно будет вспомнить народную мудрость: «То, что не удаётся запрограммировать на ассемблере, приходится паять». Поэтому параллельно я подробно изучал схематехнику компьютера «ZX Spectrum». И так, постепенно, хобби переросло во вполне профессиональную деятельность в составе группы спектрумистов «FFC Computers ». Я занимался тогда русификацией игр, дискетированием «ленточных» программ, ремонтом и доработкой Спектрумов (1995-1997 гг.)

За три года профессиональной деятельности в этой сфере через мои руки прошло огромное количество клонов Спектрума. Приносили в ремонт и другие компьютеры, но всё же Спектрумов было подавляющее большинство. И даже однажды мне довелось подключать отечественный контроллер дисковода к фирменному Спектруму 128k (тогда это была большая редкость).

С тех пор у меня сохранилось огромное количество документации к различным клонам Спектрума, сопутствующим устройствам, и к другой компьютерной технике распространенной в то время. Ну и кое-какое железо экзотическое сохранилось)

Начиная с этой публикации, постепенно буду делиться накопленной информацией. Думаю, многое будет полезно поклонникам Спектрума, да и не только)

«Как сделать компьютер? | Building ZX Spectrum 128k clone + Beta Disk Interface + AY-3-8910 (YM2149F)»

Как-то нашел у себя сразу несколько оригинальных плат популярнейшего клона Спектрума – «Ленинград 48k». И тогда я решил непременно собрать эту систему с полным апгрейдом до версии 128k с контроллером дисковода и, разумеется, музыкальным сопроцессором. Весь этот процесс я заснял на видео (смотрите выше) с подробными комментариями. Ниже привожу схемы, по которым работал и подробный план апгрейда.

Принципиальная схема компьютера «Ленинград 48k»

Вариант 1:

Вариант 2:

Монтажная схема компьютера «Ленинград 48k»

Монтажная схема:

Схема на просвет адаптированная для печати:

Увеличение памяти компьютера «Ленинград 48k» до 128k

Схема увеличения памяти и коррекции дешифрации портов ввода-вывода:

Для всех доработок используем 7 дополнительных микросхем:

ED1 - К555(1533)ТМ9 (устанавливается поверх D31)
eD2 - К555(1533)КП11 (устанавливается поверх D30)
eD3 - К555(1533)ЛЕ1 (устанавливается поверх D2)
eD4 - К555(1533)ЛА3 (устанавливается поверх D40)
eD5 - К555(1533)ЛЛ1 (устанавливается поверх D34)
eD6 - К555(1533)ЛИ1 (устанавливается поверх D8)
eD7 - К555(1533)ЛЛ1 (устанавливается поверх D13)

План соединений при апгрейде памяти:
01. eD1-2 -> eD2-2
02. eD1-5 -> eD2-11
03. eD1-7 -> eD2-14
04. eD1-15 -> eD3-8
05. eD1-9 -> eD3-10
06. eD1-3 -> D32-12 (D0)
07. eD1-4 -> D32-15 (D1)
08. eD1-6 -> D32-16 (D2)
09. eD1-11 -> D32-19 (D3)
10. eD1-13 -> D32-2 (D4)
11. eD1-14 -> D32-5 (D5)
12. eD1-10 -> D17-13 (2-й экран) – отрезать от земли
13. eD1-12 -> eD7-2 (Выбор ПЗУ 128k)
14. eD7-1 -> D1-10 -> eD7-10 (Выбор TR-DOS инвертированный)
15. D1-11 -> через 10к к +5B
16. D1-11 -> D29-1 (отрезать от +5B)
17. eD7-3 -> D29-27 (отрезать от +5B)
18. eD2-3 -> eD2-13
19. eD2-13 -> eD4-4 -> D10-11 (A14)
20. eD2-10 -> eD4-5 -> eD3-3 -> D10-12 (A15)
21. eD2-15 -> D33-8 (GND)
22. eD2-1 -> eD4-6
23. eD2-4 -> D16-10 (отрезать от A14)
24. eD2-9 -> D16-13 (отрезать от A15)
25. eD2-12 -> eD5-12
26. eD3-1 -> eD4-1
27. eD3-2 -> D41-9 (A1)
28. eD3-4 -> eD4-2
29. eD3-5 -> D14-12 (WR)
30. eD3-6 -> D14-13 (OUTIORQ)
31. eD3-9 -> eD4-3
32. eD5-13 -> D3-2 (H1)
33. eD5-11 -> D21-1...D28-1 (КР565РУ7)
34. eD5-10 -> D10-13
35. eD5-9 -> D9-8 (WE)
36. eD5-8 -> D21-3...D28-3 (КР565РУ7) - отрезать от D9-8

Для соединений я использовал провод МГТФ 0.12. Термостойкая оплетка сохраняет провода в целости при плотном монтаже, а небольшое сечение провода позволяет легко перекидывать соединения со стороны пайки на сторону монтажа деталей через любые свободные отверстия на печатной плате, как показано на фото:

Другие доработки компьютера «Ленинград 48k»

Схема доработок:

1. Стабилизация тактового генератора
2. Нормализация строчной развертки (для кварца частотой 14000 КГц)
3. Стабилизация кадровой развертки
4. Корректировка прорисовки линий (дуги, окружности и т.п.)
5. Установка ПЗУ 27C512
6. Доработка сигнала INT

Схема подключения контроллера XT-клавиатуры Profi:

Схема «читалки» с магнитофона на К554СА3:

Этапы сборки, немного фоток

Кстати, недавно копаясь в ворохе старого железа и документации, нашел совсем уж экзотическую вещь: настоящий ценник, видимо где-то середины 90-х:

Да, да, контроллер тогда стоил 75 тысяч рублей)) И обратная сторона ценника порадовала не меньше – выяснилось, что он нарисован на куске перфокарты!!! ;)

Да... В этом есть определенный философский подтекст: ценник на контроллер носителя информации нового поколения нарисован на обрывке носителя информации старого поколения...)

Полезные файлы:

    Имя файла: DIZZY_5r_48k.zip (109K)
    MD5:

    Игра «DIZZY 5». Русифицированная версия игры,
    адаптированная мной в 1996 году для спекртрума 48k.
    В архиве игра в трех форматах: TAP, HOBETA, SCL.

    Имя файла: wildseyr.zip (68K)
    MD5:

    Игра «Сеймур на Диком Западе» (русифицированная мной в 1996 году).
    В архиве игра в формате SCL.

    Имя файла: test48k.bin (2,0K)
    MD5:
    Контрольная сумма прошивки: 85E7

    Тестовая прошивка 48k (модифицированная версия -
    убрал нудную процедуру заполнения экрана, ненужную проверку ПЗУ).

    Имя файла: test128k.bin (2,0K)
    MD5:
    Контрольная сумма прошивки: E413

    Тестовая прошивка 128k.

    Имя файла: sos48k.bin (16K)

    Контрольная сумма прошивки: 2C86

    Стандартная операционная система
    для «ZX Spectrum» 48k (1982 Sinclair Research Ltd).

    Имя файла: 27512.bin (64K)
    MD5:
    Контрольная сумма прошивки: 9135

    Комбинированная прошивка для ПЗУ 27512:

    Банк 0 - Пусто.

    Банк 1 - TR-DOS Version 5.5H Copyright (C) 1993 by Rst7.
    MD5:
    Контрольная сумма: 3F81

    Банк 2 - SOS 128k (Стандартная ОС для «ZX Spectrum» 128k).
    MD5:
    Контрольная сумма: 266E

    Банк 3 - SOS 48k (Стандартная ОС для «ZX Spectrum» 48k).
    MD5:
    Контрольная сумма: 2C86

Сначала надо заказать печатную плату в любой специализирующейся на этом деле конторе. Набор файлов gerber & excellon для изготовления платы: (

11 / 11 829

Версия для печати

Так уж случилось, что "Ленинград" был самым первым Спектрумом, который я увидел, и он же был моим первым компьютером вообще. Несмотря на его простоту и недоработанность с этим компьютером связано столько воспоминаний, что я не могу так просто забыть про этот клон Спектрума.

Ленинград разработан Сергеем Зоновым в конце 80-х годов. На платах указана дата 1988 год (ZS88):

Попадаются платы, датированные 1989 годом:

Компьютер прост, содержит минимальное количество микросхем. Дешифрация портов на чтение упрощена донельзя - всё чётные порты считаются портом клавиатуры (254), все нечетные - портом Kempston джойстика (31). Это безобразие происходит от того, что для дешифрации портов используется только один бит шины адреса - A0. Ещё большее безобразие творится с портами на вывод, в частности с портом #FE - он срабатывает при записи значения вообще в любой порт, т.е. дешифрации как таковой нет.

Помимо "кривой" дешифрации портов компьютер отличается нестандарным видеовыходом. Проявляется это при попытке подключить его к ТВ-тюнерам, PAL-кодерам и вообще к современным жк-телевизорам. Как правило такие попытки заканчиваются ничем. Эта проблема решаема, об этом я расскажу немного позже .

Все виденные мной "Ленинграды" собраны в корпусах форм-фактора "гробик". Кроме шуток, форма корпуса со скошенными углами чем-то напоминает гроб. По размерам все корпуса примерно одинаковые, различие состоит только в материале, из которого корпус изготовлен.

Проиллюстрирую на примерах:

Это всё, что осталось от моего самого первого компьютера. Корпус (кстати довольно массивный для такого небольшого размера) достаточно хорошо сохранился, и я ещё надеюсь в нём собрать Спектрум.

Также существуют более лёгкие алюминиевые корпуса:

Этот экземпляр хорошо сохранился и был отреставрирован. Сейчас выглядит как новый. По сравнению с предыдущим корпусом этот компьютер в сборе весит меньше, чем стальной корпус.

Третий тип корпуса - пластмассовый. С моей точки зрения самый плохой корпус:

Обратите внимание на почти полное отсутствие блокировочных конденсаторов на плате. Несмотря на этот вопиющий недостаток плата замечательно проработала без сбоев у прежнего владельца добрый десяток лет.

Если покупать готовый комп душила жаба, то можно было попробовать собрать "Ленинград" самому, благо это было не так сложно. Я тоже спаял один "Ленинград" самостоятельно, когда учился на первом курсе университета. Тогда (в середине 90-х годов) можно было без проблем за смешные деньги купить на радиорынке пустую плату "Ленинграда":

Подобные платы отличались одной особенностью - где-то в районе магнитофонного формирователя в них было замыкание шин питания +5В и GND. Если при сборке компьютера не была перерезана соответствующая перемычка, то при первом включении был велик шанс получить клубы дыма с перегоранием одной или нескольких печатных дорожек на плате в случайном месте. К счастью, я об этой особенности знал, и вовремя прозвонил и ликвидировал место замыкания.

Там же на рынке продавались схемы компьютера, микросхемы, клавиатуры, корпуса. Короче, тогда был настоящий рай для сборки подобных конструкций. Кстати, о клавиатурах: в основном использовались кнопки от микрокалькуляторов:

На фотографии видны старые надписи на клавишах с ободранными наклейками. Это явно кнопки от какого-то калькулятора. По качеству работы и надёжности такие клавиатуры не выдерживали никакой критики. Может быть для калькуляторов такие хреновые кнопки и годятся, но для компьютера они совсем не подходят. Особенно для игр. Помню я заколебался подгибать контакты в таких кнопках, чтобы они хоть как-то работали. Пожалуй единственный положительный момент в этих кнопках - их дешевизна и доступность. Поэтому при первой же возможности я перешёл на герконовые клавиши, работа на которых была не в пример удобнее:

Оказывается были и такие "Ленинграды" - со встроенной клавиатурой и системным разъёмом. Схема этого "Ленинграда" почти полностью совпадает с канонiчной схемой. Исключение составляют магнитофонный вход, выход на бипер и видеовыход. Дешифрация порта #FE в этом клоне исправлена по сравнению с оригинальным "Ленинградом" - порт адресуется по A0=0, в отличие от оригинала, где вывод значения в любой порт вызовет срабатывание порта #FE. Плату я запустил. На фотографии платы в правом нижнем углу на макетке сделана доработка для подключения к PAL-кодеру.

Плата вообще сделана хорошо - грамотная разводка питания, места для блокировочных конденсаторов. Мне понравилось.

К сожалению не удалось опознать как называется этот клон (в смысле заводская марка компьютера). На плате нашлась одна единственная надпись - ЛС10.102.002.

Купить или собрать самому ZX Spectrum? Легко! grmretro wrote in 12 июля, 2010

Тем более что на подходе еще один проект - Speccy 2010 . Прогресс не обошел и спектрумистов, как они этого и не пытались избежать:-).
(фото одного из прототипов. в финальном варианте провода для исправления ошибок отсутствуют)

Здесь вы не найдете ни живого Z-80, ни музыкального сопроцессора. ВСЕ ВНУТРИ большой микросхемы и реализовано программно.
Цитата с форума:

“Состояние софта на сегодня:
полностью все функции Speccy2007 v1.06 (128k, пентагоновские времянки, эмулятор betadiskа, AY, загрузка tap/tzx/sna).

кроме этого

  • - выход на TV - RGB, s-video, composit
  • - более правильная и быстрая работа со sna (теперь ни один байт дампа не портиться)
  • - турбо (7, 14 и 28 MHz)
  • - безвейтовая клавиатура
  • - все мультикололры и бордереффекты идут четко (как на spectaculatore)

планирую сделать еще
  • VGA в режиме скандаблера
  • режим с времянками фирменного спектрума
  • kempston mouse и gluk rtc
  • разные режимы с расширенной памятью”
Бонус устройства - отсутствие старорежимных компонентов, более высокая надежность, возможности расширения путем заливания новых прошивок. И еще конечно, хочется помечтать, что в этой плате реализуют не только ZX-Spectrum, но и какой-нибудь MSX, Вектор, Орион или вообще Apple 2. Но пока что это только мечты. Пока...
Speccy 2010 тоже можно

«8 лет я играл в Спектрум в черно-белом цвете и все знаете почему, да потому что наши доблестные телики RGB сигнал вообще не понимали». Хотел бы сказать я, понастольгировать, вспомнить что раньше солнце было ярче а трава зеленее. Но не скажу, в моем детстве слово Спектрум вообще никто никогда не произносил. Все свое детство я играл в денди, позже в сегу, у друзей иногда в супернинтендо. Ни в передаче Денди «Новая Реальность», ни в «От винта», ни в каком либо журнале я не слышал об этом компьютере. Я краем уха слышал о компьютерах, загружающихся с кассет, но никогда их не видел и не знал их названия. Впервые я о нем узнал только когда у меня появился интернет. Почитывал форумы, завидовал тем людям которые в конце 80х начале 90х собирали сами свои компьютеры, а я годноту пропустил. Хотя в те годы я был маленький и при всем желании свой клон спектрума бы не собрал. Много ли я потерял? Вот этот вопрос я не так давно себе стал задавать. Год назад наткнулся на очень хороший видосик где один парень очень подробно рассказал и показал как спаять клон спектрума «Ленинград». Его я пересматривал не раз и в итоге решил «Я соберу свой компьютер с нуля!».

За основу решил взять оригинальную схему Ленинграда с sblive.narod.ru. Ну и добавить кучу доработок, таких как корректировка прорисовки окружности(непонятно как Зонов смог спроектировать компьютер с таким лютым косяком.Выражается в проблемах шрифтов, косяках графики итп), стабилизации кварцевого генератора, стабилизации кадровой и строчной синхронизации, доработка сигнала INT, введение привязки к уровню черного.

Чтобы этот процесс был еще круче и интереснее я не стал искать готовую плату, заказал себе макетку довольно компактных размеров 12*18. Так же пришлось заказать микросхем и другой мелочевки с алиэкспресса и ЧИПиДИПа. Память и процессор пришлось выпаять из неработающего клона, который я недавно получил от одного спектрумиста. Что это за клон до сих пор не знаю, схемы на него нет, и я просто его выпаял из него микросхемы.

Все микросхемы решил поставить на панельки для быстрой замены если что то пойдет не так. Но ведь Спектрум содержит ПЗУ, а его еще надо прошить, программатора у меня не было, но мир не без добрых людей. Вместо двух EPROM решил поставить одну EEPROM W27C512, в который зашил 48к бейсик, 128к бейсик, ТР-ДОС и тест памяти для 48к, также неплохо иметь возможность переключать банки памяти перемычками. Но вот все мои детали пришли, заранее продумав где какая панелька будет стоять, начал их припаивать. Ну и прилепил наклейки на плату с надписями где какая микросхема и номера ножек, что очень облегчило мне жизнь в дальнейшем.

Уделяя пару-тройку часиков в день за две с половиной недели все таки собрал. Не терпелось сразу его подключить. И после включения я увидел белый экран, что же уже неплохо подумал я. После перепроверки всей платы, убрав пару косяков ситуация лучше не стала. Я долго не мог понять в чем дело но потом узнал что не стоит мешать КМОП и ТТЛ микросхемы. Да, я тот еще радиолюбитель. Пришлось опять заказывать детали и ждать. После замены всех КМОП микросхем на ТТЛ все таки появилась заветная надпись, но изображение плыло.

Обращение на форум zx-pk.ru дало отчасти понять что происходит, но решения у меня не было. В итоге пришлось часами сидеть над схемой. И вуаля, я просто неправильно понял схему доработки формирования сигнала INT, точнее я сначала правильно ее понял, а потом подумал что неправильно и сделал с косяком. Что же, еще одна проблема устранена. Но не все так радужно как хотелось бы, на моем мультимедийном мониторе постоянно бежали кадры. И тут я решился подключить с старому доброму телевизору SHARP, который меня никогда не подводил. Но правда в ч\б, так как RGB-скарта в нем нет. И картинка на нем почти не дергалась. Опять обращение на форум дало дельный совет, сделать фильтр для импульсного блока питания.

И вот наконец то картинка нормальная, никаких подергиваний, запускаем тест памяти.

Но ведь для спектрума нужна еще и клавиатура. Тут я выкрутился довольно оригинально, взял старую клавиатуру, вытащил из нее пленку с контактами, порезал гетинакс на кусочки, напаял на него контакты, обработал их напильником и приклеил термоклеем к клаве, ну и спаял их по схеме. Получилась довольно громоздкая внешняя клава. Ну и порты для синклер джойстиков прямо в клавиатуру встроил. Подходят джойстики от сега мастер систем или атари, ну или как в моем случае перепаяный внутри сеговский джойстик, для которого я вывел клавишу пробел(а именно она почти всегда используется как дополнительная) на кнопку А, ну и при нажатии кнопки С дублируется нажатие вверх, что удобно в платформерах.

С динамиком у меня как то не задалось и я просто вывел бипер на телевизор, так хотя бы громкость можно регулировать. Написав простенькую мелодию в бейсике, потестировав звук решил загрузить какую нибудь игру. И… ничего у меня не получилось. Магнитофонную читалку собирал на К554СА3, перепробовал несколько микросхем, перепроверял всю схему но не завелось, почему, до сих пор не понятно. Пересобрал читалку на 561ЛН2 по схеме от пентагон-48(сначала на макетке перед тем как паять) и все заработало с первого раза. Игры хоть и загружались но большинство висло сразу же, в некоторые вроде DIZZY 5 удавалось немного поиграть.

Один раз как то проснувшись утром я призадумался, а правильные ли я впаял конденсаторы… и ОМГ, вместо 47 нанофарад я впаял 47 пикофарад, а еще хотел чтоб что то работало. Еще раз заказываем недостающие детали, ждем. После глобальной перепайки конденсаторов наконец то можно нормально поиграть, больше ничего не виснет. Приходилось себя успокаивать, что все равно большинство игр на спектруме монохромные и я не так уж много теряю, что в в конце 80х далеко не у всех были цветные телики и многие играли в него с ч\б картинкой. Но как то не шибко помогало, знаете ли.

А на моем LCD мониторе кадры все равно бегали. В итоге я все таки решился впаять дополнительную микросхему для укорочения строчного синхроимпульса, которую я хотел поставить еще в начале сборки но почему то решил сэкономить. В итоге наконец то получил хорошую цветную картинку.

Изображение правда немного двоит. Проверил на LCD телевизоре, двоения не видно. Картинка отличная. Супер! Но на моей плате еще есть свободное место и глупо было бы его не использовать. Сделать расширение памяти до 128к и поиграть в кастлванию 2015 года было бы здорово. Для этого поменяем наши К565РУ5 на К565РУ7 или аналог MN41256-08, который без проблем можно купить на алиэкспресс. Добавив еще 7 микросхем, включая звуковой чип YM2149F плату заполнил полностью. Проблем никаких с апгрейдом памяти не возникло. Расширял память до 256к по этой схеме, но все же использую ее в режиме 128к.

В итоге получилось вот это

Изначально юзать плату на столе я не собирался и для этого подобрал корпус от старой ТВ-приставки, теоретически туда можно поставить и дополнительную плату контроллера дисковода вторым этажом, но я пока не хочу этим заморачиваться.

Игры на спекки оказались лютым хардкором, мне удалось пройти только недавно вышедший Mighty Final Fight.

Так много ли я потерял из за отсутствия этого компьютера в детстве? В плане игр вряд ли, хотя возможность переписывать игры с кассеты на кассету могла бы мне очень прийтись по душе. В плане программирования на бейсике, вряд ли бы в то время меня это заинтересовало.